Микроэлектроника, 2021, T. 50, № 1, стр. 58-67

Численное моделирование криогенного травления: модель с отложенной десорбцией

М. К. Руденко a*, А. В. Мяконьких a, В. Ф. Лукичев a**

a Физико-технологический институт им. К.А. Валиева Российской АН
117218 Москва, Нахимовский проспект, 36, корп. 1, Россия

* E-mail: mike.rudenko@gmail.com
** E-mail: lukichev@ftian.ru

Поступила в редакцию 13.08.2020
После доработки 13.08.2020
Принята к публикации 13.08.2020

Полный текст (PDF)

Аннотация

Предложена численная модель эволюции профиля канавки при криогенном травлении в плазме SF6/O2, основанная на ячеечном представлении состояния поверхности, методе Монте-Карло для расчетов потоков частиц и схеме отложенной десорбции продуктов реакции. Подобное описание совмещает достоинства метода ячеек (возможность описания явлений, имеющих стохастическую природу) и метода струны (параметризация модели в терминах физически наблюдаемых величин). Продемонстрирована состоятельность модели для травления кремния и оксида кремния во фторсодержащей плазме, а так же травления в смеси SF6/O2 при различных температурах. Проведено моделирование спонтанного травления кремния под действием радикалов фтора, ионно-стимулированного травления, пассивации поверхности в плазме, содержащей радикалы кислорода, предложена модель, описывающая температурную зависимость характера травления.

Ключевые слова: математическое моделирование, плазмохимическое травление, глубокое травление кремния, криогенное травление, ионно-стимулированное травление

1. ВВЕДЕНИЕ

Плазмохимическое криогенное травление – один из основных видов глубокого анизотропного травления кремния во фторсодержащей плазме. Процесс отличается тем, что подавление спонтанного боковых стенок структур радикалами фтора достигается за счет их пассивации слоем SiOxFy, образующимся на поверхности в плазме SF6/O2 при криогенных температурах образца, в результате чего становится возможным анизотропное травление [1]. В отличие от других процессов, последующее удаление пассивирующего слоя не требуется, так как он десорбируется при повышении температуры до комнатной.

Важным преимуществом криогенного травления является возможность получения глубоких и узких вертикальных тренчей, с высоким аспектным отношением и низкой шероховатостью стенок, что обуславливает активное практическое применение данного метода. Например, для создания памяти с произвольным доступом (DRAM) необходимы конденсаторы большой емкости. Для экономии площади чипа, приходится изготавливать 3D структуры глубиной до 50 мкм [2]. В микроэлектромеханических системах плазмохимическое криогенное травление используется для получения канавок глубиной от нескольких микрометров до 0.5 мм [3]. Еще одним перспективным приложением криогенного травления является создание структур рентгеновской оптики, требовательных к точному соблюдению угла наклона стенок и их гладкости [4].

Выбор параметров процесса глубокого травления кремния определяется областью применения получаемых микроструктур и требованиями, предъявляемыми к профилю травления: отклонению стенок от вертикальности, шероховатости, уходу от литографического размера (подтрав), аспектной зависимости травления и т.д.

Компьютерное моделирование является важным методом исследования процессов плазмохимического травления. С одной стороны, оно позволяет прояснить природу физических процессов в плазме и на поверхности и их влияние на профиль травления. С другой стороны, построенные математические модели обладают предсказательной силой и помогают оптимизировать процессы травления для достижения заданных свойств, существенно сократив объем необходимых экспериментальных исследований.

Существующие математические модели плазмохимического травления описывают этот процесс на одном или нескольких уровнях детализации: уровне реактора, уровне приповерхностного слоя и уровне профиля травления. Уровни модели связаны иерархически. На уровне реактора рассчитываются концентрации и температуры компонентов плазмы во всем ее объеме. Эти данные являются входными для модели приповерхностного слоя объемного положительного заряда, которая путем решения нестационарной самосогласованной задачи транспорта ионов позволяет определить энергетическую и угловую функции распределения ионов на поверхности структуры. Модель уровня профиля травления, в свою очередь, рассчитывает результирующий профиль травления на основе потоков и распределений частиц плазмы и характера их взаимодействия с поверхностью. Примеры построения таких многомасштабных моделей можно найти в работах [5, 6]. Большинство авторов, однако, ограничиваются рассмотрением модели уровня профиля, считая информацию о потоках частиц на поверхности входными данными. Иерархическая структура многомасштабной модели допускает использование такого подхода, хотя непосредственно сравнение результатов моделирования с экспериментальными данными становится затруднительным. Настоящая работа посвящена именно построению модели уровня профиля.

Существует множество подходов к построению моделей уровня профиля (далее просто моделей), различающихся представлением эволюции поверхности и схемой расчета локальных потоков частиц внутри профиля [7]. Среди получивших распространение в моделировании криогенного травления, следует отметить метод струны и метод ячеек. В методе струны поперечное сечение поверхности канавки представляется как ломаная линия (“струна”), на которой заданы коэффициенты заполнения поверхности адсорбирующимися частицами, для расчета локальных потоков частиц с учетом переотражений внутри профиля и состояния его поверхности численно решаются интегральные уравнения переноса частиц, а взаимодействие частиц с поверхностью описывается системой уравнений поверхностной кинетики [8, 9]. В этом методе модели параметризуются такими величинами, как коэффициенты прилипания частиц, скорости спонтанного и ионно-стимулированного травления, коэффициенты преимущественного распыления. К достоинствам метода следует отнести вычислительную эффективность и ясный физический смысл параметров модели. Тем не менее, метод струны не позволяет строить модели, учитывающие эффекты стохастичности потока частиц (образование шероховатости поверхности, “черного кремния”), пространственные неоднородности состава поверхности (например травление маски одновременно с кремнием). Также затруднено обобщение данного метода на случай трех измерений. Такими недостатками не обладает метод ячеек [1013]. В построенных с его помощью моделях область моделирования разбивается на множество ячеек (как правило квадратной или кубической формы), причем каждая ячейка, кроме координат, имеет атрибуты состояния, отражающие, например, атомный состав. Профиль травления в модели определяется как граница множеств заполненных и пустых ячеек, а потоки частиц рассчитываются с помощью метода Монте-Карло. Взаимодействие частиц с поверхностью определяется таблицей вероятностей различных реакций, зависящих от состава поверхности и типа частицы. Будучи лишенным недостатков метода струны, метод частиц обладает собственными, такими как высокая вычислительная ресурсоемкость, необходимость контроля артефактов, порождаемых отсутствием гладкого профиля травления, а также сложностями в соотнесении коэффициентов таблицы реакций с экспериментально наблюдаемыми величинами.

В целом, за последние 15 лет был достигнут значительный прогресс в изучении механизмов и компьютерном моделировании плазмохимического травления, в том числе и криогенного процесса травления кремния. Для криогенного процесса при оптимальной рабочей температуре с помощью методов струны и ячеек были построены модели, дающие удовлетворительное количественное соответствие профиля травления с экспериментальными результатами [6, 14]. Однако, такая важная особенность криогенного процесса, как сильная температурная зависимость профиля травления, не нашла отражения в существующих моделях, параметры которых фактически оптимизированы исключительно для соответствия фиксированным условиям процесса.

В настоящей работе предложен класс усовершенствованных ячеечных моделей (событийные ячеечные модели), предоставляющих новый инструментарий для исследования температурной зависимости процесса криогенного травления и схожих с ним процессов. В части 2 описывается используемый метод и конструирование модели. Часть 3 посвящена анализу результатов численных экспериментов. В ней демонстрируется корректность предположений, использованных при построении модели, а также рассматриваются различные факторы, влияющие на формировании профиля канавки при криогенном травлении: величина потока ионов, температура и поток кислорода. В части 4 приведены заключительные выводы.

2. МАТЕМАТИЧЕСКАЯ МОДЕЛЬ

2.1. Физические предпосылки модели

Механизмы плазмохимического травления кремния стали предметом активного изучения в 1970-х годах. В 1979 году была опубликована работа [15], в которой впервые был описан эффект ионно-стимулированного травления кремния, что позволило объединить лучшие качества существовавших к тому времени химического и физического плазменного травления (селективность, скорость и анизотропию) и создало основания для развития процессов глубокого анизотропного травления. В таких процессах высокая скорость травления достигается за счет ионно-стимулированного травления на дне профиля, а анизотропия (отсутствие травления в горизонтальном направлении) – благодаря пассивации вертикальных стенок профиля осаждающимися из плазмы пленками, такими как полимер (CF2)n [16].

Поверхностная реакции кремния и радикалов фтора состоит из 5 стадий: транспорт радикала фтора к поверхности, его адсорбция, образование продукта реакции, его десорбция и транспорт от поверхности. При этом на поверхности кремния образуется фторированный слой SiFx, толщина которого варьируется в пределах 1–3 нм в зависимости от температуры образца и потока радикалов из плазмы [17]. В [18] проведено исследование скорости травления кремния и оксида кремния в потоке радикалов фтора в зависимости от температуры образца, и предложена Аррениусовская зависимость c энергией активации ${{E}_{a}} = 0.108$ эВ, хорошо описывающая экспериментальные данные. Следует отметить, что в условиях реального эксперимента по криогенному травлению в IСP-плазме SF6/O2, наблюдаемые скорости травления могут существенно отличаться (например, в [19] скорость горизонтального травления существенно превышает предсказываемую моделью [18]). Среди возможных объяснений – влияние на скорость травления ионов и фрагментов SF6, отсутствующих в вышеупомянутом модельном эксперименте. Резюмируя, можно вслед за [17] сказать, что адекватность простой Аррениусовской модель реакции травления кремния радикалами F, является скорее следствием удачного стечения условий экспериментов, чем точного описания механизма поверхностной реакции.

В 1987 году при исследовании ионно-стимулированного травления кремния в плазме SF6 при криогенных температурах [20] было установлено, что в диапазоне –130…–100°С наблюдается быстрое (до 1 мкм/мин) селективное анизотропное травление с минимальным боковым уходом профиля. Авторы делают вывод, что подобные результаты достигаются за счет снижения скорости спонтанного травления при низких температурах. В дальнейшем выяснилось, что настоящей причиной было поступление в плазму кислорода, выделяемого материалом вакуумной камеры экспериментальной установки. Исследования [21, 22] показали, что существует температурно-зависимое пороговое значение потока кислорода в реактор, а следовательно и радикалов O* на поверхность образца, при превышении которого скорость спонтанного травления резко падает. При этом величина порога снижается при уменьшении температуры образца. In situ изучение образцов и химического состава плазмы в реакторе методами масс-спектрометрии [23] и рентгеновской фотоэлектронной спектрометрии [24] показало, что механизмом, приводящим к снижению скорости спонтанного травления, является образование на поверхности кремния пассивирующего слоя SiOxFy, устойчивого при криогенных температурах и десорбирующегося при нагревании.

Таким образом, для адекватного описания криогенного травления модель должна отражать следующие процессы: травление Si/SiO2 радикалами фтора при различных температурах, образование и десорбция слоя SiOxFy, ионно-стимулированное травление и физическое распыление Si/SiO2 ионами.

2.2. Алгоритм моделирования

В работе рассматривается задача эволюции профиля травления канавки для геометрии с трансляционной симметрией вдоль одной из осей (ось $z$), которая сводится к 2.5D задаче (двухмерные координаты и трехмерные скорости частиц) путем интегрирования потоков частиц вдоль оси $z$. При этом $z$-компонента скорости не может быть отброшена, так как она влияет на угол падения частиц и, как следствие, на коэффициенты ионно-стимулированных процессов. Расчетная область модели (подложка, маска и их непосредственная окрестность) представлена на рис. 1.

Рис. 1.

Область моделирования (а) и представление профиля травления (б).

Область моделирования разбита на квадратные ячейки одинакового размера. Каждая из ячеек может находиться в одном из следующих состояний: пустая (вакуумная), заполненная и граничная (поглощающая или отражающая). Заполненные ячейки характеризуются количественным составом: числом атомов кремния, кислорода и фтора в ячейке (nSi, nO, nF), причем число атомов кремния должно быть положительным. Если это условие нарушается в ходе работы модели, ячейка преобразуется в пустую. Изначально для заполненных ячеек подложки ${{n}_{{\text{O}}}} = {{n}_{{\text{F}}}} = 0$, а для ячеек оксидной маски ${{n}_{{\text{O}}}} = 2 \cdot {{n}_{{{\text{Si}}}}},{{n}_{{\text{F}}}} = 0$. В случае металлической маски она представляется отражающими граничными клетками.

Рассматриваемые в модели частицы плазмы делятся на две категории: нейтральные радикалы (O*, F*) и положительно заряженные ионы (F+). Они порождаются в верхней части области моделирования (ионы) или непосредственно над поверхностью структуры (радикалы) с вероятностями, пропорциональными плотностям потоков частиц соответствующего вида частиц на поверхность. Ионы считаются моноэнергетическими, с нормальным распределением полярного угла вектора скорости относительно оси $y$. Скорости радикалов распределены по косинусному закону, соответствующему эффузии идеального газа в вакуум.

Так как в рассматриваемом диапазоне давлений (1–10 Па) длина свободного пробега ионов и радикалов (10–3–10–2 м) значительно превосходит характерные размеры моделируемых структур и толщину плазменного слоя, модель не учитывает взаимодействие частиц плазмы друг с другом, и частицы порождаются последовательно.

Основной отличительной особенностью предложенной модели является отложенная десорбция продуктов реакции. Благодаря этому становится возможным описывать температурно-зависимые процессы травления в терминах времен десорбции, что делает модель более адекватной изучаемым физическим процессам. Эта особенность диктует особенное устройство алгоритма моделирования (рис. 2). Его основной структурной единицей является событие, то есть изменение состояния модели в определенный момент модельного времени. События принадлежат к одному из двух классов: события генератора частиц и события ячеек. Первые соответствуют возникновению в системе частицы плазмы и ее взаимодействию с ячейками, а вторые – актам спонтанного травления. В результате могут изменяться состав и тип ячеек, а также порождаться отложенные события ячеек.

Рис. 2.

Общая схема алгоритма моделирования.

Все события в модели хранятся в общей очереди событий, упорядоченные по времени наступления. В ходе работы алгоритма ближайшее по времени событие извлекается из очереди и реализуется соответствующим его типу обработчиком. Все порождаемые при этом события помещаются в очередь. Для каждого источника событий (генератор частиц и каждая из ячеек) хранится только последнее помещенное в очередь событие.

Описанная схема достаточно универсальна, и может использоваться для построения моделей различных процессов взаимодействия плазма-поверхность. В дальнейшем будет описано, как эта схема используется для моделирования процесса криогенного травления.

2.3. Спонтанное травление

Основными стадиями реакции спонтанного травления кремния радикалами фтора являются транспорт радикалов к поверхности, адсорбция радикалов, реакция с образованием продукта реакции (с представленной модели это SiF4), десорбция продукта реакции и его транспорт от поверхности. Этим стадиям соответствуют следующие элементы модели: трассировка траектории радикалов в области моделирования с учетом диффузного характера отражения, химическая или физическая адсорбция с вероятностью, определяемой коэффициентом прилипания соответствующего типа радикалов (${{S}_{{\text{O}}}}$, ${{S}_{{\text{F}}}}$), отложенная десорбция продуктов реакции. Так как SiF4 в рассматриваемом диапазоне температур является газообразным, в модели не рассматривается возможность переосаждения продукта реакции и процесс его удаления из структуры.

Каждая поверхностная ячейка соответствует элементу слоя травления. Она содержит ${{n}_{{{\text{Si}}}}}$ атомов кремния, ${{n}_{{\text{O}}}}$ атомов кислорода и ${{n}_{{\text{F}}}}$ атомов фтора. При этом атомы фтора могут находиться в хемосорбированном и физисорбированном состоянии, а атомы кислорода – только в физисорбированном. Каждый атом кремния может хемосорбировать 4 атома фтора или два атома кислорода, а также физисорбировать 4 атома фтора. Для удобства дальнейшего изложения введем следующие обозначения:

(1)
$\begin{gathered} {{\theta }_{{\text{O}}}} = \frac{{{{n}_{{\text{O}}}}}}{{2{{n}_{{{\text{Si}}}}}}},\,\,\,\,{{\theta }_{{\text{F}}}} = \frac{{{{n}_{{\text{F}}}}}}{{4{{n}_{{{\text{Si}}}}}}}, \\ {{\theta }_{{{\text{F}}c}}} = {\text{min}}\left\{ {{{\theta }_{{\text{F}}}},1 - {{\theta }_{{\text{O}}}}} \right\}, \\ {{\theta }_{{{\text{F}}p}}} = {\text{max}}\left\{ {0,{{\theta }_{{\text{F}}}} + {{\theta }_{{\text{O}}}} - 1} \right\},\,\,\,\,\theta = {{\theta }_{{\text{O}}}} + {{\theta }_{{\text{F}}}}, \\ \end{gathered} $
где ${{\theta }_{{\text{O}}}}$ и ${{\theta }_{{\text{F}}}}$ – коэффициенты покрытия поверхности атомами кислорода и фтора, а ${{\theta }_{{{\text{F}}c}}}$ и ${{\theta }_{{{\text{F}}p}}}$ – доли хемосорбированного и физисорбированного фтора.

Вероятность поглощения ячейкой радикала фтора равна ${{S}_{{\text{F}}}}$ при $\theta < 2.0$ и нулю в обратном случае. Для кислорода соответствующая вероятность равна ${{S}_{{\text{O}}}}$, а условие принимает вид $\theta < 1.0$. Различие объясняется наличием возможности физисорбции фтора. В случае поглощения радикала фтора, соответствующий счетчик увеличивается на 1, и происходит проверка ${{n}_{{\text{F}}}} > 4$. При выполнении этого условия в очередь событий поступает событие отложенной десорбции для данной ячейки. Время до наступления события определяется выражением

$\tau = \frac{1}{{\frac{{{{\theta }_{{{\text{F}}c}}}}}{{{{\tau }_{{{\text{Si}}}}}}} + \frac{{{{\theta }_{{{\text{F}}p}}}}}{{{{\tau }_{{{\text{O}}x}}}}}}}.$

Здесь ${{\tau }_{{{\text{Si}}}}}$ и ${{\tau }_{{{\text{O}}x}}}$ – времена десорбции, соответствующие случаям травлению чистого кремния и оксида кремния при ${{\theta }_{{{\text{F}}с}}}$ и ${{\theta }_{{{\text{F}}p}}}$ стремящихся к 1. При наступлении события десорбции из ячейки удаляются 1 атом кремния и 4 атома фтора, а также 2 атома кислорода при их наличии. Если после этого в ячейке остается как минимум 1 атом кремния и 4 атома фтора, в очередь ставится следующее событие десорбции для данной клетки.

Геометрия изотропного профиля травления, получаемого в расчетах по предложенной модели, определяется величинами ${{\tau }_{{{\text{Si}}}}}$, ${{\tau }_{{{\text{O}}x}}}$, ${{S}_{{\text{O}}}}$ и ${{S}_{{\text{F}}}}$, которые играют роль подгоночных параметров, и могут быть определены из эксперимента.

2.4. Пассивационный слой SiOxFy

В построенной в разделе 2.3 модели атомы кислорода конкурировали с атомами фтора за возможность быть хемосорбированными атомами кремния. Так реализовывалась пассивирующая роль кислорода в модели. Однако, подобный характер пассивации, как это будет показано в части 3, не соответствует описанному в литературе температурнозависимому пороговому изменению скорости травления при повышении содержания кислорода в плазме. Для отражения этого эффекта в модель был добавлен механизм подавления травления хемосорбированным фтором при достижении определенного количества кислорода в слое. Обозначив $\mu = \frac{{{{\theta }_{{\text{O}}}}}}{{{{\theta }_{{\text{O}}}} + {{\theta }_{{{\text{F}}c}}}}}$, мы модифицируем (2) следующим образом:

(3)
$\tau = \frac{1}{{\frac{{{{\theta }_{{{\text{F}}c}}}H\left( {{{\mu }_{0}} - \mu } \right)}}{{{{\tau }_{{{\text{Si}}}}}}} + \frac{{{{\theta }_{{{\text{F}}p}}}}}{{{{\tau }_{{{\text{O}}x}}}}}}},$
где $H\left( x \right)$ – функция единичного скачка Хевисайда, а ${{\mu }_{0}}$ – пороговая доля кислорода в реакционном слое, при превышении которого скорость травления резко снижается.

2.5. Ионно-стимулированное травление

В модели рассматриваются два механизма взаимодействия ионов с поверхностью: ионно-стимулированное травление и физическое распыление. Основным количественным показателем этих процессов является коэффициент распыления, характеризующий количество атомов кремния, выбиваемых одним ионом. Он зависит от энергии иона, угла падения и состояния поверхности. Общий коэффициент распыления рассчитывается по формуле

(4)
$\begin{gathered} Y\left( {\alpha ,E} \right) = {{Y}^{{ph}}}\left( {\alpha ,E} \right) + {{\theta }_{F}}{{Y}^{{ch}}}\left( {\alpha ,E} \right), \\ {{Y}^{{ph,ch}}}\left( {\alpha ,E} \right) = Y_{0}^{{ph,ch}}\frac{{\sqrt E - \sqrt {E_{0}^{{ph,ch}}} }}{{\sqrt {100} - \sqrt {E_{0}^{{ph,ch}}} }}{{g}_{{ph,ch}}}\left( \alpha \right), \\ {{g}_{{ch}}}\left( \alpha \right) = {\text{max}}\left\{ {0.0,{\text{min}}\left\{ {1.0,1.0 - \frac{{\alpha - {{\alpha }_{1}}}}{{{{\alpha }_{2}} - {{\alpha }_{1}}}}} \right\}} \right\}, \\ {{g}_{{ph}}}\left( \alpha \right) = 0.4(18.7c - 64.7{{c}^{2}} + 145.2{{c}^{3}} - \\ - \,\,206{{c}^{4}} + 147.3{{c}^{5}} - 39.9{{c}^{6}})\,\,\,\,,c = {\text{cos}}\alpha , \\ \end{gathered} $
где $Y_{0}^{{ph,ch}}$ – коэффициенты физического и химического распыления при энергии иона равной 100 эВ, $E_{0}^{{ph,ch}}$ – пороговые энергии, а ${{\alpha }_{1}}$ и ${{\alpha }_{2}}$ – угловые параметры ионно-стимулированного травления (см. рис. 3). Вид зависимости ${{g}_{{ph}}}\left( \alpha \right)$ был предложен в [25] и является аппроксимацией экспериментальных данных.

Рис. 3.

Угловые зависимости эффективности химического (а) и физического (б) распыления.

При попадании иона в ячейку рассчитывается полный коэффициент распыления и округляется до целого числа. Если округленный коэффициент распыления оказывается равным нолю, ион отражается, теряя энергию, пропорциональную ${\text{cos}}\alpha $. В противном случае из ячейки удаляется соответствующее количество атомов кремния с помощью процедуры, описанной выше для десорбции. Если в ходе расчета энергия иона после отражения оказывается ниже ${\text{min}}\left\{ {E_{0}^{{ph}},E_{0}^{{ch}}} \right\}$, отслеживание его траектории завершается.

3. РЕЗУЛЬТАТЫ И ОБСУЖДЕНИЕ

3.1. Спонтанное травление

Для проверки корректности построенной модели были проведены расчеты скорости травления в нескольких тестовых задачах. В этих расчетах использовались единичные коэффициенты прилипания кислорода и фтора, безразмерные потоки радикалов, приведенные к размеру ячейки ${{F}_{{\text{F}}}}$ и ${{F}_{{\text{O}}}}$ и безразмерная скорость травления, выражающаяся в числе десорбированных атомов, отнесенному к одной ячейке в единицу времени.

На рис. 4 приведены зависимости скорости травления открытой поверхности (нулевое аспектное отношение) кремния и оксида кремния от потока радикалов фтора при различных временах десорбции продуктов ${{\tau }_{{{\text{Si}}}}}$ и ${{\tau }_{{{\text{O}}x}}}$. На графиках можно выделить три участка: линейный $\left( {{{F}_{{\text{F}}}} \ll \frac{1}{\tau }} \right)$, насыщения $\left( {{{F}_{{\text{F}}}} \gg \frac{1}{\tau }} \right)$ и промежуточный. На линейном участке скорость травления определяется потоком радикалов и слабо зависит от $\tau $, так как характерное время десорбции продуктов в этом режиме существенно меньше среднего времени между поступлением радикалов в ячейку. В режиме насыщения коэффициенты покрытия поверхности фтором ${{\theta }_{{{\text{F}}c}}}$ (для кремния) и ${{\theta }_{{{\text{F}}p}}}$ (для оксида кремния) стремятся к единице, а скорость травления к максимальному значению, лимитированному времен десорбции. Эти результаты согласуются с поведением, предсказываемым кинетикой поверхностных реакций. Также следует отметить, что скорости травления Si и SiO2 определяются соответствующими параметрами (${{\tau }_{{{\text{Si}}}}}$ и ${{\tau }_{{{\text{O}}x}}}$) и слабо зависят от параметров, относящихся к другому случаю (т.е. ${{\tau }_{{{\text{O}}x}}}$ и ${{\tau }_{{{\text{Si}}}}}$), что также указывает на корректность построенной модели.

Рис. 4.

Скорость спонтанного травления открытой поверхности (a) кремния и (б) оксида кремния. Кривые в каждой группе соответствуют различным значениям (а) ${{\tau }_{{{\text{O}}x}}}$ и (б) ${{\tau }_{{{\text{Si}}}}}$.

Моделирование режима пассивации кислородом спонтанного травления осуществлялось при следующих значениях параметров: ${{F}_{{\text{F}}}} = 10$, ${{\tau }_{{{\text{Si}}}}} = 1.0$, ${{\tau }_{{{\text{O}}x}}} = 10$, при поток кислорода ${{F}_{{\text{O}}}}$ и порог пассивации $\mu $ варьировались. Результаты расчетов представлены на рис. 5. Случай $\mu = 2$ соответствует фактическому отсутствию порога пассивации, т.е. режиму, когда скорость травления снижается только за счет конкуренции O* и F* за возможность хемосорбции на поверхности кремния. Для всех остальных $\mu $ можно видеть, что при определенной величине ${{F}_{{\text{O}}}}$ скорость травления резко снижается и стремится к скорости травления SiO2 (${1 \mathord{\left/ {\vphantom {1 {{{\tau }_{{{\text{O}}x}}}}}} \right. \kern-0em} {{{\tau }_{{{\text{O}}x}}}}}$). Это хорошо согласуется с экспериментом [22] и [21], причем снижение температуры в этих экспериментах соответствует снижению величины $\mu $ в модели.

Рис. 5.

Пассивация кислородом спонтанного травления кремния фтором.

Корректность транспорта радикалов в модели была проверена с помощью расчета аспектно-зависимого травления кремния в вертикальных каналах, образованных устойчивым к травлению материалом (рис. 6). В этом случае скорость травления описывается зависимостью [26]:

(5)
$\frac{{R\left( {{z \mathord{\left/ {\vphantom {z d}} \right. \kern-0em} d}} \right)}}{{R\left( 0 \right)}} = \frac{K}{{K + S - KS}},$
где ${z \mathord{\left/ {\vphantom {z d}} \right. \kern-0em} d}$ – аспектное отношение, $K$ – коэффициент Клаузинга, численно равный вероятности достижения дна структуры частицей, попавшей в апертуру маски, $S$ – эффективный коэффициент прилипания. Анализ результатов расчетов показал, что при ${{F}_{{\text{F}}}} = 10,{{S}_{{\text{F}}}} = 1.0$ кривые скорости травления совпадают с теоретическими с $S = 0.25$.

Рис. 6.

Аспектно-зависимое травление: (а) профили, указана ширина канала в ячейках, (б) зависимость нормированной скорости травления от времени.

3.2. Ионно-стимулированное травление

Результаты моделирования ионно-стимулированного травления без пассивации при фиксированной температуре приведены на рис. 7. Для расчетов использовались следующие параметры: ${{F}_{{\text{F}}}} = 10$, ${{F}_{{\text{O}}}} = 0$, ${{\tau }_{{{\text{Si}}}}} = 3.0$, ${{\tau }_{{{\text{O}}x}}} = 30$, ${{\alpha }_{1}} = 60$, ${{\alpha }_{2}} = 85$, $Y_{0}^{{ch}} = 25$, ${{E}_{i}} = 65\,\,{\text{эВ}}$, $E_{0}^{{ch}} = 4\,\,{\text{эВ}}$. При равном нулю потоке ионов наблюдается профиль, соответствующий изотропному травлению, с гладким дном и ярко выраженным подтравом под маску. Уже при потоке ионов ${{F}_{i}} = 0.1$ профиль травления существенно изменяется: возрастает вертикальная скорость травления и несколько уменьшается подтрав. Второе обстоятельство объясняется снижением потока отраженных от дна структуры радикалов F* по мере увеличения вертикальной скорости травления и смещения дна вглубь структуры. При дальнейшем увеличении потока ионов эти тенденции сохраняются с постепенным выходом на насыщение. Это связано с ограничением скорости ионно-стимулированного травления потоком радикалов фтора на дно структуры.

Рис. 7.

Ионно-стимулированное травление без пассивации для разных потоков ионов: ${{F}_{i}} = 0$ (а), ${{F}_{i}} = 0.1$ (б), ${{F}_{i}} = 4$ (в), ${{F}_{i}} = 10$ (г).

Рассмотрим эволюцию профиля анизотропного травления при повышении времени десорбции продуктов спонтанного травления ${{\tau }_{{{\text{Si}}}}}$, что отражает понижение температуры. Результаты такой серии расчетов показаны на рис. 8, причем условия, соответствующие рис. 8а, аналогичны условиям эксперимента 7г. Можно отметить наличие трех тенденций. Во-первых, с понижением температуры уменьшается вплоть до полного исчезновения подтрав под маску, вызванный спонтанным травлением. Во-вторых, угол наклона стенки профиля изменяется от выражено положительного к умеренно отрицательному. Отрицательный наклон вызван расходимостью ионного пучка, и проявляется при достаточно низких температурах, когда ионно-стимулированный механизм травления стенок начинает доминировать над спонтанным. В-третьих, вследствие повышения эффективного коэффициента отражения радикалов фтора от стенок, повышается коэффициент покрытия фтором дна структуры, что приводит к увеличению вертикальной скорости травления.

Рис. 8.

Ионно-стимулированное травление при пониженной температуре: ${{\tau }_{{{\text{Si}}}}} = 3$ (а), ${{\tau }_{{{\text{Si}}}}} = 10$ (б), ${{\tau }_{{{\text{Si}}}}} = 30$ (в), ${{\tau }_{{{\text{Si}}}}} = 50$ (г).

Тем не менее, одним только понижением температуры не удается добиться одновременно отсутствия подтрава и вертикальности стенок профиля. Для достижения этой цели используется криогенный процесс с кислородной пассивацией боковых стенок. В предложенной модели этому соответствуют ненулевые значения потоков всех трех типов частиц (F*, O* и F+). На рис. 9 можно видеть результаты соответствующих численных экспериментов. В этих расчетах использовались значения $\mu = 0.2$, ${{F}_{i}} = 4.0$, ${{F}_{{\text{F}}}} = 10$. Значению ${{F}_{{\text{O}}}} = 1.25$ соответствует режим недопассивации боковых стенок: скорость травления боковых стенок снижается, но при этом возникает шероховатость. При дальнейшем повышении потока кислорода (${{F}_{{\text{O}}}} = 0.25$) подтрав маски полностью исчезает, шероховатость стенок уменьшается, угол наклона приближается к оптимальному (90°), достигается наибольшая вертикальная скорость травления. После этого повышение потока кислорода приводит к снижению скорости вертикального травления и повышению шероховатости в области дна профиля, т.е. режиму перепассивации.

Рис. 9.

Ионно-стимулированное травление с пассивацией для разных потоков кислорода: ${{F}_{{\text{O}}}} = 0$ (а), ${{F}_{{\text{O}}}} = 1.25$ (б), ${{F}_{{\text{O}}}} = 2.5$ (в), ${{F}_{{\text{O}}}} = 3.75$ (г).

4. ЗАКЛЮЧЕНИЕ

Была предложена численная модель эволюции профиля криогенного травления в плазме SF6/O2 для геометрии канавки с трансляционной симметрией, основанная на ячеечном представлении состоянии поверхности, методе Монте-Карло для расчетов потоков частиц и схеме отложенной десорбции продуктов реакции. Подобное описание совмещает достоинства метода ячеек (возможность описания явлений, имеющих стохастическую природу) и метода струны. Была продемонстрирована состоятельность модели для травления кремния и оксида кремния во фторсодержащей плазме, а так же травления в смеси SF6/O2 при различных температурах. Были изучены различные механизмы формирования анизотропного профиля травления при криогенных температурах и раскрыты процессы их образования.

Изучено влияние величины потока ионов на характер ионно-стимулированного травления двухмерной канавки, в частности величину подтрава маски и вертикальной скорости травления, а также продемонстрирован эффект насыщения скорости ионно стимулированного травления при ограниченном транспорте радикалов фтора к области дна профиля. Исследована зависимость профиля травления в плазме SF6 от температуры, выявлено изменение знака угла наклона боковой стенки и заметное повышение вертикальной скорости травления при снижении температуры. Была показана принципиальная необходимость использования смешанного потока (F*, O* и F+) для формирования наблюдаемого в эксперименте профиля травления с вертикальными стенками, отсутствием подтрава и минимальной шероховатостью.

Дальнейшее развитие модели на основе построенной схемы поверхностной кинетики позволит перейти к полностью 3D моделям травления, позволяющим изучать цилиндрическую геометрию и продольную шероховатость профиля травления (LER). Другим важным направлением исследований является калибровка параметров модели на имеющихся экспериментальных данных.

Работа выполнена в рамках Государственного задания ФТИАН им. К.А. Валиева РАН Минобрнауки РФ по теме № 0066-2019-0004, частично поддержана грантом Российского фонда фундаментальных исследований №18-07-01190 А.

Список литературы

  1. Dussart R. et al. Plasma Cryogenic Etching of Silicon: From the Early Days To Today’s Advanced Technologies // J. Physics D: Applied Physics. 2014. V. 47. № 12. P. 123001.

  2. Ngo H.-D. et al. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications // J. Physics: Conference Series. 2006. V. 34. P. 271–276.

  3. Rueger N.R. et al. Patterning and etch challenges for future DRAM and other high aspect ratio memory device fabrication // Advanced Etch Technology for Nanopatterning II / Ed. Zhang Y., Oehrlein G.S., Lin Q. International Society for Optics; Photonics; SPIE, 2013. V. 8685. P. 79–93.

  4. Miakonkikh A.V. et al. Elements for hard X-ray optics produced by cryogenic plasma etching of silicon // International Conference on Micro- and Nano-Electronics 2016 / Ed. Lukichev V.F., Rudenko K.V. International Society for Optics; Photonics; SPIE, 2016. V. 10224. P. 505–509.

  5. Huard C.M. et al. Role of Neutral Transport in Aspect Ratio Dependent Plasma Etching of Three-Dimensional Features // J. Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2017. V. 35. № 5. P. 05C301.

  6. Haidar Y. et al. Simulation of Cryogenic Silicon Etching Under ${{{\text{S}}{{{\text{F}}}_{6}}} \mathord{\left/ {\vphantom {{{\text{S}}{{{\text{F}}}_{6}}} {{{{\text{O}}}_{2}}}}} \right. \kern-0em} {{{{\text{O}}}_{2}}}}$/Ar Plasma Discharge // J. Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2016. V. 34. № 6. P. 061306.

  7. Guo W., Sawin H.H. Review of Profile and Roughening Simulation in Microelectronics Plasma Etching // J. Physics D: Applied Physics. 2009. V. 42. № 19. P. 194014.

  8. Lukichev V.F., Yunkin V.A. Scaling of Silicon Trench Etch Rates and Profiles in Plasma Etching // Microelectronic Engineering. 1999. V. 46. № 1–4. P. 315–318.

  9. Ishchuk V. et al. Profile Simulation Model for Sub-50 Nm Cryogenic Etching of Silicon Using ${{{\text{S}}{{{\text{F}}}_{6}}} \mathord{\left/ {\vphantom {{{\text{S}}{{{\text{F}}}_{6}}} {{{{\text{O}}}_{2}}}}} \right. \kern-0em} {{{{\text{O}}}_{2}}}}$ Inductively Coupled Plasma // J. Applied Physics. 2015. V. 118. № 5. P. 053302.

  10. Blauw M.A. et al. Modeling of Fluorine-Based High-Density Plasma Etching of Anisotropic Silicon Trenches With Oxygen Sidewall Passivation // J. Applied Physics. 2003. V. 94. № 10. P. 6311–6318.

  11. Marcos G., Rhallabi A., Ranson P. Monte Carlo Simulation Method for Etching of Deep Trenches in Si By a ${{{\text{S}}{{{\text{F}}}_{6}}} \mathord{\left/ {\vphantom {{{\text{S}}{{{\text{F}}}_{6}}} {{{{\text{O}}}_{2}}}}} \right. \kern-0em} {{{{\text{O}}}_{2}}}}$ Plasma Mixture // J. Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2003. V. 21. № 1. P. 87–95.

  12. Marcos G., Rhallabi A., Ranson P. Topographic and Kinetic Effects of the ${{{\text{S}}{{{\text{F}}}_{6}}} \mathord{\left/ {\vphantom {{{\text{S}}{{{\text{F}}}_{6}}} {{{{\text{O}}}_{2}}}}} \right. \kern-0em} {{{{\text{O}}}_{2}}}}$ Rate During a Cryogenic Etching Process of Silicon // J. Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 2004. V. 22. № 4. P. 1912.

  13. Marcos G., Rhallabi A., Ranson P. Properties of Deep Etched Trenches in Silicon: Role of the Angular Dependence of the Sputtering Yield and the Etched Species Redeposition // Applied Surface Science. 2008. V. 254. № 11. P. 3576–3584.

  14. Rangelow I.W. et al. Pattern-Generation and Pattern-Transfer for Single-Digit Nano Devices // J. Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 2016. V. 34. № 6. P. 06K202.

  15. Coburn J.W., Winters H.F. Ion- and Electron-assisted Gas-surface Chemistry-An Important Effect in Plasma Etching // J. Applied Physics. 1979. V. 50. № 5. P. 3189–3196.

  16. Winters H.F., Coburn J.W. Surface Science Aspects of Etching Reactions // Surface Science Reports. 1992. V. 14. № 4-6. P. 162–269.

  17. Lieberman M.A., Lichtenberg A.J. Principles of Plasma Discharges and Materials Processing. John Wiley & Sons, Inc., 2005.

  18. Flamm D.L., Donnelly V.M., Mucha J.A. The Reaction of Fluorine Atoms With Silicon // J. Applied Physics. 1981. V. 52. № 5. P. 3633–3639.

  19. Belen R.J. et al. Feature-Scale Model of Si Etching in ${\text{S}}{{{\text{F}}}_{6}}$ Plasma and Comparison With Experiments // J. Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2005. V. 23. № 1. P. 99–113.

  20. Tachi S., Tsujimoto K., Okudaira S. Low-temperature Reactive Ion Etching and Microwave Plasma Etching of Silicon // Applied Physics Letters. 1988. V. 52. № 8. P. 616–618.

  21. Jansen H.V. et al. Black Silicon Method: X. a Review on High Speed and Selective Plasma Etching of Silicon With Profile Control: an In-Depth Comparison Between Bosch and Cryostat DRIE Processes As a Roadmap To Next Generation Equipment // J. Micromechanics and Microengineering. 2009. V. 19. № 3. P. 033001.

  22. Tillocher T. et al. Oxidation Threshold in Silicon Etching At Cryogenic Temperatures // J. Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2006. V. 24. № 4. P. 1073–1082.

  23. Mellhaoui X. et al. ${\text{Si}}{{{\text{O}}}_{x}}{{{\text{F}}}_{y}}$ Passivation Layer in Silicon Cryoetching // J. Applied Physics. 2005. V. 98. № 10. P. 104901.

  24. Pereira J. et al. In Situ X-Ray Photoelectron Spectroscopy Analysis of ${\text{Si}}{{{\text{O}}}_{x}}{{{\text{F}}}_{y}}$ Passivation Layer Obtained in a ${{{\text{S}}{{{\text{F}}}_{6}}} \mathord{\left/ {\vphantom {{{\text{S}}{{{\text{F}}}_{6}}} {{{{\text{O}}}_{2}}}}} \right. \kern-0em} {{{{\text{O}}}_{2}}}}$ Cryoetching Process // Applied Physics Letters. 2009. V. 94. № 7. P. 071501.

  25. Mahorowala A.P., Sawin H.H. Etching of polysilicon in inductively coupled ${\text{C}}{{{\text{l}}}_{2}}$ and HBr discharges. II. Simulation of profile evolution using cellular representation of feature composition and Monte Carlo computation of flux and surface kinetics // J. Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 2002. V. 20. № 3. P. 1064.

  26. Coburn J.W., Winters H.F. Conductance Considerations in the Reactive Ion Etching of High Aspect Ratio Features // Applied Physics Letters. 1989. V. 55. № 26. P. 2730–2732.

Дополнительные материалы отсутствуют.