Приборы и техника эксперимента, 2020, № 5, стр. 38-47

ЭЛЕКТРОНИКА СЧИТЫВАНИЯ ВЕРШИННОГО ДЕТЕКТОРА УСТАНОВКИ СВД-2

Е. Н. Ардашев a, С. Н. Головня a*, С. А. Горохов a, А. А. Киряков a, В. С. Петров a, В. А. Сенько a, М. М. Солдатов a, Ю. П. Цюпа a, В. И. Якимчук a

a Институт физики высоких энергий им. А.А. Логунова Национального исследовательского центра “Курчатовский институт”
142281 Протвино, Московской обл., пл. Науки, 1, Россия

* E-mail: Sergey.Golovnya@mail.ru

Поступила в редакцию 12.03.2020
После доработки 10.04.2020
Принята к публикации 04.05.2020

Полный текст (PDF)

Аннотация

Описана общая архитектура и приведены общие характеристики электроники считывания вершинного детектора установки СВД-2 на ускорителе У-70 (НИЦ “Курчатовский институт” – ИФВЭ, Протвино), созданной для исследования процесса околопорогового рождения очарованных частиц при энергиях 50–70 ГэВ, а также многочастичных процессов и коллективного поведения частиц. Кратко представлены основные характеристики электронных модулей, разработанных в НИЦ КИ–ИФВЭ и используемых на установке СВД.

1. ВВЕДЕНИЕ

Начиная с 1998 и по 2019 гг. Сотрудничеством НИИЯФ МГУ, ОИЯИ и ИФВЭ был сконструирован и неоднократно модернизирован прецизионный вершинный детектор (п.в.д.) установки СВД-2 (“Спектрометр с вершинным детектором”) ИФВЭ [1, 2]. К настоящему времени в связи с общей модернизацией установки изменилась как конфигурация детектирующих систем, так и электроника считывания п.в.д.

Новая система сбора данных с модулей микрополосковых детекторов создана на основе блоков электроники в стандарте ЕвроМИСС (многоканальная информационная скоростная система) [3].

В данной работе изложена общая организация системы съема данных п.в.д. и дано описание ряда его электронных блоков. Приведена схема размещения модулей п.в.д. и счетчиков триггерной системы установки СВД-2. Описаны архитектура электроники предварительного усиления, управления и логическая схема системы считывания данных. Дано описание применяемых в системе считывания электронных блоков для управления системой и амплитудного анализа данных.

2. СХЕМА РАЗМЕЩЕНИЯ МОДУЛЕЙ П.В.Д. И СЧЕТЧИКОВ СИСТЕМЫ ТРИГГИРОВАНИЯ

На рис. 1 приведена схема размещения модулей п.в.д. и счетчиков триггерной системы установки СВД-2.

Рис. 1.

Расположение сцинтилляторов триггерной логики и детекторов на платформе вершинного детектора (вид сверху) С1С5 – сцинтилляционные триггерные счетчики.

Прецизионный вершинный детектор состоит из двух частей: пучковой и трековой, в состав которых входят 16 микрополосковых кремниевых детекторов. Их основные характеристики приведены в табл. 1. Модули п.в.д. были испытаны и настроены на специализированном стенде [4].

Таблица 1.

Основные характеристики кремниевых детекторов п.в.д.

Часть п.в.д. № микрополоскового кремниевого детектора Количество детекторов Размер, мм Шаг стрипов, мкм Количество стрип/детектор
Пучковая № 1–№ 6 6 32 28 1024
Трековая № 7–№ 8 2 32 28  1024
№ 9–№ 16 8 62 56  1024
Всего 16     16 384

3. АРХИТЕКТУРА РЕГИСТРИРУЮЩЕЙ ЭЛЕКТРОНИКИ

При изготовлении нового вершинного трекового детектора был учтен ранее накопленный опыт. В отличие от предыдущего варианта, детектор имеет модульную структуру, в нем используется только один тип специализированных микросхем – VA1-3 (ASIC – Application Specific IC) фирмы IDEAS (Норвегия, www.ideas.no) [5 ] . Ниже приведены основные характеристики микросхемы, существенные для регистрирующей системы:

– Количество входных каналов 128
– Количество выходных каналов 1
– Время формирования входного сигнала, мкс ~1
– Коэффициент преобразования, мВ/фКл ~12.5
– Диапазон линейности ±10 MIP (MIP – minimum
ionizing particle)
– Эквивалентный шумовой заряд ENC, пФ 180 + 7.5
– Тактовая частота мультиплексирования, МГц макс. 10

Конструкция модулей п.в.д. с данными усилителями детально описана в работе [4].

4. ЭЛЕКТРОНИКА П.В.Д.

Система сбора данных с модулей вершинного детектора расположена в домике экспериментаторов установки СВД-2. Система позволяет обрабатывать 10240 сигналов, поступающих с микрополоскового вершинного детектора. Состав аппаратуры регистрации и межмодульные соединения представлены на рис. 2.

Рис. 2.

Состав аппаратуры регистрации и межмодульные соединения. СС – схема совпадения; EM1 – контроллер ЕвроМИСС; ЕМ7 – а.ц.п. стандарта ЕвроМИСС; EM8 – автономный контроллер ЕвроМИСС; EM12 – модуль КАА (контроллер амплитудного анализа); LE-88K – контроллер КАМАК; КВ005 – регистр; 4С3 – схема совпадения; 4Ф115 – формирователь; ЛЭ67к – разветвитель.

Аппаратура регистрации содержит крейт КАМАК с модулями для синхронизации системной работы и крейт ЕвроМИСС. В состав последнего входят следующие модули:

– 2048-канальные аналого-цифровые преобразователи (а.ц.п.) ЕМ7;

– крейт-контроллер ЕМ1, выходящий на шину QBUS, обеспечивающий обмен данными между крейтом и персональным компьютером;

– контроллер амплитудного анализа ЕМ12;

– автономный крейт-контроллер ЕМ8, выходящий на шину USB, связывающий его с компьютером.

В состав электроники считывания вершинного детектора входят стандартные модули контроллеров в стандарте ЕвроМИСС (ЕМ1, ЕМ8), а также специально разработанные для п.в.д. модули ЕМ7 и ЕМ12.

Конструкция каждой плоскости предусматривает подачу 2 × 4 управляющих сигнала с модуля ЕМ12. На весь вершинный детектор имеем 32 × 4 управляющих сигнала. Для организации корректной работы вершинного детектора используются блоки разветвителей управляющих сигналов ЛЭ67К, которые являются пассивными и размещены в каркасе КАМАК.

Для считывания данных с п.в.д. была разработана система считывания подсистемы VERTEX в ЕвроМИСС.

5. ЛОГИЧЕСКАЯ СХЕМА СИСТЕМЫ СЧИТЫВАНИЯ П.В.Д.

Логическая схема (рис. 3) позволяет реализовать три режима работы подсистемы вершинного детектора:

Рис. 3.

Логическая модульная схема подсистемы VERTEX. Блоки: СС1 – схема совпадения; OR – модуль ИЛИ; R – разветвитель; EM1 – контроллер ЕвроМИСС; EM8 – автономный контроллер ЕвроМИСС; EM12 – модуль КАА; LE-88K – контроллер КАМАК. Входные сигналы: Trig TS – триггерный сигнал с триггерной системы TS; Spill TS – “ворота” сброса пучка с TS; КЦУ TS – конец цикла ускорения с TS. Выходные сигналы: BUSY_TS – запрет триггеров для TS; Разреш НЦУ TS – разрешение НЦУ для TS.

1) работа через ЕМ1: снятие пьедесталов в промежутках между сбросами ускорителя для проведения калибровки и самокалибровки системы;

2) работа через ЕМ1: пособытийный режим, в котором чтение данных происходит после прихода каждого триггера;

3) работа через ЕМ8: работа по сбросам, когда чтение данных происходит один раз по приходу сигнала конец сброса, данные накапливаются во внутренней памяти модулей ЕвроМИСС с присвоением каждому триггеру порядкового номера для последующей постобработки.

Для работы в разных режимах логика системы не меняется. Выбор режима работы определяется пользователем в программе сбора данных.

Для снятия пьедесталов между сбросами программа выполняет следующие действия. В начале съема информации управляющей программой устанавливаются необходимые сигналы Busy на контроллерах крейтов ЕМ1, затем – сигнал разрешения НЦУ_TS, который поступает с триггерной системы TS. Далее устанавливается режим приема сигнала КЦУ_TS, и запускается временной таймер. Подается сигнал Trig Ped по первому выходу ЛЭ88К, отслеживается сигнал по второму входу ЕМ1, и считываются данные. Далее проверяется временной таймер: если все в порядке, проводится расчет параметров пьедесталов и сохранение их в файл.

В пособытийном режиме управляющая программа устанавливает необходимые уровни запрещающих и разрешающих сигналов для работы с ЕМ1, ждет сигнала по второму входу ЕМ1 и считывает данные.

В режиме работы “по сбросам” управляющая программа запрещает обмен данными через ЕМ1 подачей управляющего потенциала по первому выходу ЕМ1. Это переводит контроллер крейта в режим автономной работы и настраивает его на сбор и накопление экспериментальных данных.

6. ОПИСАНИЕ ФУНКЦИОНАЛЬНЫХ МОДУЛЕЙ СИСТЕМЫ СБОРА ДАННЫХ

6.1. Модуль ЕМ12 для выработки управляющих сигналов для предусилителей VА1-3 и модулей амплитудного анализа

6.1.1. Модуль ЕМ12 выполнен в стандарте ЕвроМИСС и занимает в крейте первое место. Все входные и выходные сигналы имеют уровни NIM и передаются через разъемы LEMO на передней панели. Используются следующие сигналы:

− вход L1.1 – входной триггерный сигнал L1.1;

− вход L1.2 – входной триггерный сигнал L1.2;

− вход L1.3 – входной сигнал тестового режима;

− выход T&H (Track and Hold) – сигнал запоминания для предусилителей;

− выход RESET – сигнал сброса для предусилителей;

− выход SHIFT_IN – входной сигнал сдвигающего регистра для предусилителей VА-3;

− выход T-PU – тактовый сигнал для предусилителей;

− выход T-AA – тактовый сигнал для модулей амплитудного анализа;

− выход T-AA – дополнительный тактовый сигнал для модулей амплитудного анализа, подключается к осциллографу для настроек;

− выход Запрет L1.1 – выход блокировки для системы сбора;

− выход END – сигнал конца серии тактовых последовательностей;

− выход END START – выход для системы сбора.

На передней панели расположены также 5 светодиодов, которые индицируют состояние входных сигналов L1.1 и L1.2 посредством одновибраторов, а также следующие режимы работы модуля:

BBUSY;

TTEST;

SSPECIAL.

6.1.2. Временная диаграмма работы модуля. Временная диаграмма сигналов показана на рис. 4. Временные параметры программируются командами ЕвроМИСС при инициализации модуля. Значения параметров определяются экспериментально в процессе калибровки вершинного детектора и при наборе данных. Требуемые значения параметров и их ожидаемые величины для VIK-ЕМ7 приведены в табл. 2.

Рис. 4.

Временная диаграмма работы модуля ЕМ12.

Таблица 2.
Пара-метр Tmin, нс Tmax, нс D Диапазон значений VА-ЕМ7
T, нс D
T1 130 2080 0–15 T1 = (D + 1)Tmin 1300 9
T2 130 2080 0–15 T2 = (D + 1)Tmin 1300 9
T3 30 480 0–15 T3 = (D + 1)Tmin 210 6
T4 20 340 0–15 T4 = Tmin(D + 2) 100 4
T5 10 –450 1–63 T5 = DTmin
T6 30 1–15 T6 = DTmin 210 7
N-PU 1 256 0–255 D + 1 128 128
N-AA 1 256 0–255 D + 1 131 131

Примечание. D – число, записываемое в контроллер амплитудного анализа ЕМ; для N-PU и N-AA приведено число импульсов.

6.1.3. Алгоритм работы модуля. По приходу триггера уровня L1.1 вырабатываются сигналы:

− ожидание триггера L1.2 (на рис. 1 не показан) длительностью Т2;

− сигнал запоминания T&H (Track and Hold) для управления предусилителями, задержанный относительно L1.1 на время Т1;

− запрет на прохождение следующего триггера Запрет L1.1.

Если в течение промежутка времени Т2 сигнал триггера уровня L1.2 не приходит, то сбрасываются:

− сигнал запоминания T&H;

− сигнал запрета на L1.1.

После этого модуль готов к приему следующего триггера.

Если L1.2 приходит в течение промежутка времени Т2, то вырабатываются следующие сигналы цикла амплитудных преобразований:

Запрет L1.2;

− по окончании времени Т2 выдается сигнал RESET длительностью 210 нс;

− серия тактовых импульсов T-PU, задержанных на время Т3 относительно начала сигнала RESET; период импульсов определяется временем Т4, скважность равна 2, количество импульсов в серии определяется числом, записанным в N-PU;

− начало сигнала SHIFT_IN, формируемого по спаду сигнала RESET и заканчивающегося по спаду первого полупериода первого импульса сигнала T-PU;

− серия тактовых импульсов T-AA, задержанных на время Т5 относительно начала серии импульсов T-PU; период импульсов определяется временем Т4, скважность равна 2, количество импульсов в серии определяется числом, записанным в N-AA;

− сигнал END, который задержан относительно конца последнего импульса в сериях T-PU  и T-AA на время Т6, его длительность фиксирована и составляет 100 нс;

− по фронту сигнала END сбрасывается сигнал T&H;

− по спаду сигнала END сбрасываются сигналы Запрет L1.1 и Запрет L1.2 и вырабатывается сигнал END START.

По завершении описанного цикла модуль готов к приему следующих триггерных сигналов.

6.2. Модуль амплитудного анализа ЕМ7

Для цифрового преобразования сигналов с предусилителей VА1-3 был разработан модуль ЕМ7.

6.2.1. Модуль ЕМ7 выполнен в стандарте ЕвроМИСС и занимает в крейте первое место. На печатной плате модуля, вблизи передней панели, установлены джамперы (3 штырька). При замыкании ближнего к передней панели и среднего штырьков сигнал на вход а.ц.п. поступает гальванически развязанным (через конденсатор 0.1 мкФ), постоянная времени входа ~0.1 мс. При этом “базовая линия” на вход а.ц.п. не поступает. Если замкнуты дальний от передней панели и средний штырьки, то сигнал поступает непосредственно на вход а.ц.п. Входное сопротивление в обоих случаях равно 50 Ом.

Регулировка напряжения компенсации “базовой линии” осуществляется посредством 12-разрядного цифроаналогового преобразователя (ц.а.п.) и согласующего операционного усилителя. Схема построена таким образом, что при отсутствии напряжения “базовой линии” с детектора (или при использовании гальванической развязки) компенсирующее напряжение следует устанавливать примерно в середине динамического диапазона ц.а.п., т.е. следует записать в него код примерно 2048. Этот код соответствует напряжению компенсации “базовой линии” ≈ 0 В. Увеличение кода соответствует опусканию в “–” напряжения компенсации “базовой линии” и увеличению выходного кода из а.ц.п. соответственно; уменьшение кода приводит к подъему в “+” напряжения компенсации “базовой линии” и соответственно уменьшению выходного кода из а.ц.п. Следует иметь в виду, что результирующее напряжение, которое поступает на вход а.ц.п. AD9200, представляет собой сумму, а именно:

где Uкомпенсации “базовой линии” – аналоговый эквивалент цифрового кода, записанного в ц.а.п.

Значения пьедесталов индивидуальны для каждого канала детектора, соответственно для их компенсации в модуль должны быть занесены их значения в количестве 16 × 128 штук.

Регистрируемые модулем сигналы могут иметь как положительную, так и отрицательную полярность. Устройство вычитания (подавления) пьедесталов должно “знать”, сигналы какой полярности следует обрабатывать. Для этого необходимо предварительно записать полярность для каждого из 16-ти каналов а.ц.п. На передней панели модуля индицируется полярность, определенная для каждого входа (“горящий” светодиод – положительная полярность). При вычитывании данных в режиме последовательного чтения информации (ПЧИ) (“боевом”) 10-й разряд DA10 в слове данных показывает полярность (может пригодиться при обработке).

Данные, вычитанные из модуля, для сигналов различной полярности следует интерпретировать по-разному. Так, для положительных сигналов значения результирующего сигнала равны

${{U}_{{{\text{result}}}}} = {{U}_{{adc}}}--{{U}_{{ped}}}.$

Для отрицательных сигналов результирующий сигнал определяется этой же формулой, однако для получения корректных значений следует двоичное число Uresult проинвертировать и добавить единицу.

Для работы с отрицательными сигналами (при отсутствии “базовой линии” в сигнале) следует значение кода компенсации “базовой линии” установить близким к 1023 отсчетам, чтобы попасть в область положительных напряжений а.ц.п. Пьедесталы при этом получатся достаточно большой величины. При наличии напряжения “базовой линии” следует это соответствующим образом учитывать.

Каждый из 16-ти каналов модуля может регистрировать до 128 сигналов. Интервал поступления сигналов составляет от 170 нс до 2 мкс.

В нашем случае мы имеем дело с положительными сигналами, поэтому модуль ЕМ7 программируется соответствующим образом.

6.3. Контроллер крейта ЕвроМИСС ЕМ1

6.3.1. Модуль ЕМ1 выполнен в стандарте ЕвроМИСС и занимает в крейте первое место. Он обеспечивает программный режим работы персонального компьютера с сектором ЕвроМИСС. Связь контроллера с компьютером осуществляется через адаптеры ISA-Qbus или PCI-Qbus. Контроллер содержит следующие функциональные узлы:

– 16-разрядный регистр адреса (РА) – хранит полный адрес регистра ЕвроМИСС для выполнения операций записи/чтения в адресном режиме;

– 16-разрядный регистр данных (РД) – хранит информацию, подлежащую записи в выбранный регистр, или информацию, полученную от выбранного регистра при выполнении операции чтения в адресном режиме;

–8-разрядный регистр управления (РУ) – определяет режим работы сектора (АП (адресная передача), ПЧН (последовательное чтение номера), ПЧИ (последовательное чтение информации) или АР (адресный регистр)) и состояние сигналов УР0УР5;

– 16-разрядный статусный регистр (РС) – содержит информацию о состоянии контроллера и магистрали сектора;

– два блока памяти по 1 Кбайт 16-разрядных слов каждый; эти блоки хранят информацию, получаемую от регистрирующих модулей в режимах последовательного чтения. Один блок памяти – адресное запоминающее устройство (АЗУ) – хранит адресную часть информации, второй – память данных (ДЗУ) – код данных.

Дешифратор команд шины Qbus вырабатывает сигналы записи/чтения для регистров контроллера и блоков памяти.

Контроллер является ведомым устройством для шины Qbus и выполняет операции ввода/вывода по адресам А0, А0 + 2, А0 + 3, А0 + 4, А0 + 6, А0 + 010, А0 + 012, А0 + 013, А0 + 014 и А0 + 016. Здесь А0 – 16-разрядный код базового адреса, а значения смещений – восьмеричные константы. Наименьшее значение базового адреса – 0170000 (восьмеричный код, четыре старших разряда которого зафиксированы и имеют значение логической единицы). Четыре младших разряда определяют относительный адрес, а восемь промежуточных – задаются переключателями, расположенными на печатной плате.

Код смещения (или относительный адрес) указывает на один из внутренних узлов контроллера:

0 – статусный регистр;

2 – регистр адреса: при записи кода адреса вырабатывается цикл чтения в магистрали сектора;

3 – регистр адреса: при записи кода адреса вырабатывается цикл записи в магистрали сектора;

4 – регистр вектора и маски для режима прерывания;

6 – регистр управления;

010 – регистр данных;

011 – запись статуса выходных синхросигналов по коду КДА02–КДА00;

012 – при чтении – статус режима последовательного чтения (ПЧ), при записи – вывод синхросигнала на разъем, соответствующий коду КДА01–КДА00;

013 – при записи – снятие потенциального синхросигнала с разъема, соответствующего коду КДА01–КДА00;

014 – адресная память (АЗУ) режимов ПЧ;

016 – память данных (ДЗУ) режима ПЧИ.

Статусный регистр РС. Адрес регистра АРС = А0. Разряды 15, 11–3 доступны для чтения, 2–0 – для чтения/записи. При записи в данный регистр кода с единичным значением старшего разряда в магистраль сектора будет выдан сигнал ОС.

15 14–12 11 10 9 8 7 6 5 4 3 2 1 0
ТСС СИ2 СИ1 АР ПЧИ ПЧН ОШ ТР ГМ Т3 Т2 Т1

Здесь ТСС – тип синхросигналов для выходных разъемов РЦ00: 0 – импульсный, 1 – потенциальный; СИ2 – состояние линии СИ2 магистрали сектора; СИ1 – состояние линии СИ1 магистрали сектора; АР – состояние линии АР магистрали сектора; ПЧИ – состояние линии ПЧИ магистрали сектора; ПЧН – состояние линии последовательного чтения номера (ПЧН) магистрали сектора; ОШ – состояние триггера Ошибка синхронизации, триггер принимает состояние логической единицы, если при выполнении операции не был получен сигнал СИ2; ТР – состояние линии ТР магистрали сектора; ГМ – состояние линии ГМ магистрали сектора; Т1–Т3 – состояния входных триггеров контроллера, запись по команде и с входных разъемов передней панели.

Регистр адреса РА. Адрес регистра АPA = А0 + 2 или А0 + 3. Содержит адрес регистра ЕвроМИСС при выполнении операций записи/чтения в адресном режиме.

Бит 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
  П10 П9 П8 П7 П6 П5 П4 П3 П2 П1 П0 М4 М3 М2 М1 М0

Здесь М0М5 – коды номера модуля; П0–П10 – коды подадреса.

Регистр доступен для записи и чтения. При записи кода в этот регистр начинается цикл операции магистрали сектора. Выбор адреса АРА = А0 + 2 означает, что будет выполняться операция чтения, для операции записи следует выбрать адрес АРА = А0 + 3.

Регистр данных РД – 16-разрядный регистр, содержащий информацию, подлежащую записи в модуль сектора или прочитанную из модуля. Все разряды доступны для записи и чтения. Адрес регистра АРД = А0 + 010.

Регистр вектора и маски РВМ. Регистр зарезервирован для работы в режиме прерывания э.в.м. В настоящее время используется как тестовый регистр Qbus. Адрес регистра АРВМ = А0 + 4. Все разряды доступны для записи/чтения.

Регистр управления – 8-разрядный регистр, разряды которого определяют режим работы сектора МИСС и состояние сигналов УР0УР5 магистрали сектора. Все разряды доступны для чтения и записи. Адрес регистра АРУ = А0 + 6.

Бит 7 6 5 4 3 2 1 0
  Р2 Р1 УР5 УР4 УР3 УР2 УР1 УР0

Здесь УР0УР5 – состояние сигналов управления регистрацией магистрали сектора, контроллер не выдает эти сигналы, если сектор находится в режиме “Автономная работа”; Р2 и Р1 – определяют режим работы сектора МИСС и контроллера ЕМ1.

Режимы работы и состояния разрядов Р2 и Р1 приведены ниже:

Р2 и Р1 Режим
00 Адресная передача
01 ПЧН
10  ПЧИ
11 Автономная работа

Адресная передача – режим, при котором для выполнения любой операции требуется занесение адреса в РА.

6.3.2. Режим последовательного чтения номера (ПЧН) – это режим, используемый для чтения номеров модулей, установленных в секторе. Инициализация режима происходит записью кода 01 в разряды Р2 и Р1. Контроллер устанавливает в секторе режим ПЧН, опрашивает все модули, а получаемую информацию записывает в память адресов АЗУ. После завершения опроса снимается режим ПЧН, формируется статусное слово режима, формат которого приведен ниже:

Бит 15 14 13 12–11 10–0
  ОШ ФОПЧ ЗП 00 Количество слов в ЗУ

Число циклов – количество прочитанных из сектора слов. При правильной работе системы в режиме ПЧН это число не может превышать 20.

ОШ – указывает на ошибку, возникшую при выполнении режима. Она возникает при отсутствии СИ2 в течение заданного времени ожидания (~500 нс) при установленных сигналах ТР и СИ1; ЗП – память контроллера ЕМ1, которая содержит максимальное число слов (1000); ФОПЧ – флаг окончания последовательного чтения.

Данное слово считывается по адресу А0 + 012.

Чтение всего массива происходит многократной генерацией (соответственно количеству слов, полученному из статусного слова) команды чтения АЗУ (ААЗУ = А0 + 014).

Примечание. При неверной работе системы в режиме ПЧН память может заполниться, а режим ПЧН не завершиться.

6.3.3. Режим последовательного чтения информации (ПЧИ) – это режим, используемый для сбора рассеянных данных с модулей сектора. Он аналогичен режиму ПЧН. Инициализация режима происходит записью кода 10 в разряды Р2 и Р1. Контроллер устанавливает режим ПЧИ в секторе, опрашивает все модули, заносит получаемую информацию во внутреннюю память адресов АЗУ и данных ДЗУ, снимает режим ПЧИ, формирует статусное слово режима, в котором устанавливается в “1” флаг завершения последовательного чтения (ФОПЧ). После установления ФОПЧ = 1 необходимо прочитать статусное слово режима (адрес АСС = А0 + 012). Статусное слово режима ПЧИ имеет ту же структуру, что и режима ПЧН. После чтения статусного слова выполняется чтение АЗУ и ДЗУ (АДЗУ = А0 + 016).

В режиме ПЧИ возможна ситуация, когда объем информации сектора может превысить емкость памяти контроллера ЕМ-1 (1000 32-разрядных слов). В этом случае выполнение режима приостанавливается (снимается сигнал СИ1), а в разряд ЗП будет записана “1”. Для продолжения ПЧИ следует прочитать всю информацию из АЗУ и ДЗУ. При завершении режима ПЧИ установится разряд ФОПЧ статусного слова режимов ПЧ. Следовательно, сигналами на чтение АЗУ и ДЗУ являются единичные значения ФОПЧ или ЗП. Алгоритм показан на рис. 2.

Примечание. Некоторые модули регистрирующей электроники упаковывают адресную информацию и данные в одно слово данных и в режиме ПЧИ не выдают никакой информации на адресные линии магистрали сектора. В этом случае чтение АЗУ в режиме ПЧИ не выполняют.

АР – режим, при котором модулями сектора управляет автономный контроллер.

6.4. Автономный контроллер ЕМ8

6.4.1. Модуль ЕМ8 выполнен в стандарте ЕвроМИСС и занимает первое место. Автономный контроллер соответствует требованиям системы ЕвроМИСС и может работать в качестве подчиненного при наличии в каркасе системного контроллера (СК) ЕМ-1, который управляет магистралью каркаса ЕвроМИСС по командам компьютера. Автономный контроллер (АК) выполняет ряд команд чтения/записи в режиме адресной передачи.

Для передачи управления АК системный контроллер СК устанавливает на линии АР (автономная работа) магистрали сектора разрешающий (отрицательный) сигнал. В этом случае АК по сигналам, поданным на его переднюю панель, осуществляет инициализацию регистрирующей электроники каркаса, сбор информации триггерного события, занесение ее во внутреннюю буферную память и передачу содержимого буферной памяти в персональный компьютер по шине USB после завершения цикла ускорителя. Печатная плата блока позволяет иметь максимальную емкость памяти 16 Мбайт 32-разрядных слов. Имеется возможность нарастить память до 32 Мбайт слов с помощью дополнительной платы.

6.4.2. Режим адресной передачи. В этом режиме можно поверить буферную память с помощью системного контроллера ЕМ-1 и интерфейса PC-Qbus (ISA-Qbus или PCI-Qbus), правильность передачи информации из основной памяти в персональный компьютер через USB либо через адаптер PC-Qbus, что позволит проводить тестовое сравнение массивов, полученных по двум каналам.

Команды, выполняемые АК в адресном режиме:

МА(0)_R – чтение 16-ти младших разрядов 32-разрядного слова, прочитанного из буферной памяти, команда выдается после чтения старших разрядов;

МА(1)_R – генерация цикла чтения буферной памяти по указанному в RA адресу и чтение 16-ти старших разрядов прочитанного слова, после завершения цикла чтения памяти адресный счетчик чтения инкрементируется;

МА(2)_R – чтение 16-ти младших разрядов адресного счетчика записи (WA);

МА(3)_R – чтение 9-ти старших разрядов WA;

МА(4)_R – чтение 16-ти младших разрядов адресного счетчика чтения (RA);

МА(5)_R – чтение 9-ти старших разрядов RA;

МА(6)_R – чтение статуса АК при выполнении теста передачи данных в USB: ДА1 = 0, если АК завершил передачу блока данных;

МА(0)_W – запись в регистр данных (РД) 16-ти младших разрядов кода для последующего занесения в буферную память;

МА(1)_W – запись в РД 16-ти старших разрядов кода и запуск цикла записи в буферную память по адресу WA, после выполнения цикла записи происходит инкрементирование адресного счетчика записи;

МА(2)_W – запись 16-ти младших разрядов WA;

МА(3)_W – запись 9-ти старших разрядов WA и занесение полного адреса в адресный счетчик записи;

МА(4)_W – запись 16-ти младших разрядов RA;

МА(5)_W – запись 9-ти старших разрядов RA и занесение полного адреса в адресный счетчик чтения;

МА(6)_W[D = 1] – пуск АК для тестовой передачи информации из буферной памяти АК в USB, адрес первого слова массива указан в счетчике RA, а последнего – в WA;

MA(7)_W[D = 0] – генерация сигнала Сброс для USB-контроллера;

В адресном режиме автономному контроллеру присвоен номер М = 31.

6.4.3. Режим контроллера (Автономный режим). АК работает под управлением сигналов, подаваемых на входные разъемы РЦ00 (LEMO), установленные со стороны передней панели:

Сброс;

Spill;

Строб.

АК формирует следующие выходные сигналы:

– импульс Time out;

OVF – переполнение буферной памяти;

Занят.

Инициализация системы происходит при подаче сигнала Сброс. Этот сигнал устанавливает АК в исходное состояние и, будучи направлен в магистраль сектора, обеспечит исходное состояние регистрирующей электроники.

Сбор данных с регистрирующих модулей. После сигнала Строб АК запускает процедуру ПЧИ либо через фиксированное время, запрограммированное в микросхеме ЕР1К50, либо по положительному фронту сигнала ГТ магистрали сектора МИСС. Если в ответ на сигнал ПЧИ АК не получит запрос ТР в течение ~200 нс, в промежуточную буферную память запишется заголовок события. При наличии ТР выполняется последовательный опрос регистрирующих модулей и запись принимаемой информации в промежуточную буферную память. Когда отработает последний модуль, сигнал на линии ТР примет высокий уровень и АК завершит режим ПЧИ. На время преобразования и опроса блоков контроллер вырабатывает сигнал Занято.

Регистрирующий модуль сектора МИСС, получивший право передачи информации, выдает слова (адрес и данные), сопровождаемые сигналом СИ2. Режим передачи – синхронный период следования 100–200 нс.

Отсутствие СИ2 более 300 нс при активном значении ТР приведет к аварийному завершению работы АК при обработке данного события. Кроме того, контроллер отслеживает ошибочную ситуацию, при которой суммарное число слов, полученных от всех модулей, не превысило допустимое, что также приведет к аварийному завершению работы. Сигнал BUSY снимается после завершения чтения модулей сектора. Передача информации из промежуточной памяти в основную осуществляется во время цикла преобразования нового события. После окончания сброса ускорителя и обработки информации последнего события АК начинает передачу данных в персональный компьютер.

Информационный блок события состоит из набора 32-разрядных слов: собственно информации, принятой от модулей, и служебных слов, формируемых контроллером. Автономный контроллер получает при чтении регистрирующего модуля 28-разрядное слово, старшие 12 разрядов которого содержат адрес канала (номер модуля и номер канала), а младшие разряды – результат преобразования. В информационном блоке эти слова идут в порядке, соответствующем порядку опроса модулей.

Начинает этот массив заголовок блока, состоящий из пяти 32-разрядных слов. Первое слово – разделитель блоков, второе – события, к которому относится массив, третье – 30-разрядный код временной метки данного события, четвертое – длительность сигнала BUSY для данного события. Один отсчет кодов времени равен 100 нс. Пятое слово содержит информацию о размере (длине) массива, типе детектора, номере АК и наличии возможных ошибок в работе аппаратуры.

В системе возможны следующие ненормальные ситуации, при которых АК завершает опрос и формирует блок, состоящий из того числа информационных слов, которое было принято от регистрирующих модулей, а во втором слове заголовка будут установлены в единичное состояние соответствующие разряды поля Ошибка:

1) АК получил сигнал ТР, но в течение заданного времени не получил сигнал СИ2, в этой ситуации АК сформирует блок с принятыми данными и установит 11-й разряд второго служебного слова в единичное состояние;

2) АК при опросе получил от системы число слов, превышающее допустимое, после чего запишет в блок все принятые слова и установит в единичное значение 12-й разряд во втором служебном слове.

Выходные сигналы Time out и OVF предназначены для более гибкого контроля работы системы.

7. ЗАКЛЮЧЕНИЕ

Архитектура электроники считывания прецизионного вершинного детектора установки СВД-2 разработана исходя из требований эксперимента и накопленного опыта. Характеристики кремниевых детекторов определили выбор электроники предварительного усиления. По результатам выбора были разработаны специализированные модули регистрации и управления, совместимые со стандартом ЕвроМИСС.

Список литературы

  1. Ардашев Е.Н., Басиладзе С.Г., Богданова Г.А., Васильев М.В., Волков В.Ю., Воробьев А.П., Воронин А.Г., Головня С.Н., Голубков С.А., Горохов С.А., Горьков А.В., Гришкевич Я.В., Егоров Н.Н., Ежов В.Б., Ермолов П.Ф. и др. // ПТЭ. 2007. № 5. С. 75.

  2. Авдейчиков В.В., Алеев А.Н., Ардашев Е.Н., Басиладзе С.Г., Богданова Г.А., Вишневская А.М., Волков В.Ю., Воробьев А.П., Воронин А.Г., Головня С.Н., Головкин В.Ф., Горохов С.А., Гришкевич Я.В., Ермолов П.Ф., Зверев Е.Г. и др. // ПТЭ. 2013. № 1. С. 14.https://doi.org/10.7868/S003281621206002X

  3. Букреева С.И., Емельянов Н.М., Исаев А.Н., Карпеков Ю.Д., Киселев Ю.С., Петров В.С., Сенько В.А., Солдатов М.М., Шаланда Н.А., Якимчук В.И. // ПТЭ. 2014. № 6. С. 23. https://doi.org/10.7868/S003281621405005X

  4. Ардашев Е.Н., Горохов С.А., Киряков А.А., Короб-чук П.П., Лобанов И.С., Лобанова Е.В., Маляев В.Х., Сенько В.А., Солдатов М.М., Цюпа Ю.П., Яким-чук В.И., Воронин А.Г., Карманов Д.Е., Меркин М.М. Препринт ИФВЭ 2016-13. Протвино, 2016.

Дополнительные материалы отсутствуют.